Микропросессорҳои AM3352BZCZA100 - MPU ARM Cortex-A8 MPU

Тавсифи кӯтоҳ:

Истеҳсолкунандагон: Texas Instruments
Категорияи маҳсулот: Микропросессорҳо - MPU
Рӯйхат:AM3352BZCZA100
Тавсифи: IC MPU SITARA 1.0GHZ 324NFBGA
Ҳолати RoHS: Мутобиқати RoHS


Тафсилоти маҳсулот

Вижагиҳо

Барномаҳо

Тегҳои маҳсулот

♠ Тавсифи маҳсулот

Аттрибути маҳсулот Арзиши атрибут
Истеҳсолкунанда: Техас Асбобҳо
Категорияи маҳсулот: Микропроцессорҳо - MPU
RoHS: Тафсилот
Услуби насб: SMD/SMT
Баста/Пайдо: PBGA-324
Силсила: AM3352
Асосӣ: ARM Cortex A8
Шумораи ядроҳо: 1 аслӣ
Бари автобуси маълумот: 32 бит
Басомади максималии соат: 1 ГГц
Хотираи дастури кэш L1: 32 кБ
Хотираи маълумоти кэш L1: 32 кБ
Шиддати таъминоти корӣ: 1.325 В
Ҳарорати ҳадди ақали корӣ: - 40С
Ҳарорати максималии корӣ: + 125 дараҷа гарм
Бастабандӣ: Табақ
Бренд: Техас Асбобҳо
Андозаи RAM маълумот: 64 кБ, 64 кБ
Андозаи маълумот ROM: 176 кБ
Маҷмӯаи рушд: TMDXEVM3358
Шиддати вуруд / баромад: 1,8 В, 3,3 В
Навъи интерфейс: CAN, Ethernet, I2C, SPI, UART, USB
Дастури кэш L2 / Хотираи маълумот: 256 кБ
Навъи хотира: L1/L2/L3 кэш, RAM, ROM
Ҳассос ба намӣ: Бале
Шумораи таймерҳо/ҳисобкунакҳо: 8 Вақтсанҷ
Силсилаи протсессори: Ситора
Навъи маҳсулот: Микропроцессорҳо - MPU
Миқдори бастаи завод: 126
Зеркатегория: Микропроцессорҳо - MPU
Номи тиҷоратӣ: Ситора
Таймерҳои Watchdog: Вақтсанҷи Watchdog
Вазни воҳиди: 1,714 гр

♠ Протсессори AM335x Sitara™

Микропросессорҳои AM335x, ки дар асоси протсессори ARM Cortex-A8 асос ёфтаанд, бо тасвирҳо, коркарди графика, таҷҳизоти периферӣ ва имконоти интерфейси саноатӣ ба монанди EtherCAT ва PROFIBUS такмил дода шудаанд.Дастгоҳҳо системаҳои оператсионии сатҳи баландро (HLOS) дастгирӣ мекунанд.Протсессори SDK Linux® ва TI-RTOS аз TI ройгон дастрас аст.

Микропросессор AM335x дорои зерсистемаҳои дар Диаграммаи Блоки Функсионалӣ нишон додашуда ва тавсифи мухтасари ҳар яки онҳо мебошад:

Он зерсистемаҳоеро дар бар мегирад, ки дар Диаграммаи Блоки Функсионалӣ нишон дода шудаанд ва тавсифи мухтасари ҳар кадоми онҳо:

Зерсистемаи воҳиди микропросессорӣ (MPU) ба протсессори ARM Cortex-A8 асос ёфтааст ва зерсистемаи PowerVR SGX™ Graphics Accelerator суръатбахшии графикаи 3D-ро барои дастгирии эффектҳои намоишӣ ва бозӣ таъмин мекунад.PRU-ICSS аз ядрои ARM ҷудо буда, имкон медиҳад, ки кори мустақил ва соатро барои самаранокӣ ва чандирии бештар таъмин кунад.

PRU-ICSS интерфейсҳои иловагии периферӣ ва протоколҳои вақти воқеӣ, аз қабили EtherCAT, PROFINET, EtherNet/IP, PROFIBUS, Ethernet Powerlink, Sercos ва дигаронро имкон медиҳад.Илова бар ин, табиати барномарезишавандаи PRU-ICSS, дар баробари дастрасии он ба пинҳо, рӯйдодҳо ва тамоми захираҳои система дар чип (SoC), чандириро дар татбиқи посухҳои зуд, дар вақти воқеӣ, амалиёти махсуси коркарди додаҳо, интерфейсҳои периферии фармоишӣ таъмин мекунад. , ва ҳангоми борфарорӣ кардани вазифаҳо аз дигар ядроҳои протсессори SoC.


  • гузашта:
  • Баъдӣ:

  • • То 1-ГГц Sitara™ ARM® Cortex® -A8 32-бит протсессори RISC

    – Процессори NEON™ SIMD

    - 32 КБ дастури L1 ва 32 КБ кэши маълумот бо ошкоркунии як хато (паритет)

    - 256 КБ кэши L2 бо рамзи ислоҳи хато (ECC)

    – 176 КБ аз ROM On-Chip Boot

    – 64KB RAM махсус

    – Эмулятсия ва ислоҳ – JTAG

    - Назорати қатъ (то 128 дархости қатъ)

    • Хотираи чипӣ (Хотираи муштараки L3 RAM)

    – 64 КБ хотираи умумии таъиноти нозири хотираи чип (OCMC) RAM

    - Барои ҳама устодон дастрас аст

    - Нигоҳдорӣ барои бедории зудро дастгирӣ мекунад

    • Интерфейсҳои хотираи беруна (EMIF)

    – mDDR(LPDDR), DDR2, DDR3, DDR3L Назоратчӣ:

    – mDDR: Соат 200-МГс (Меъёри маълумот 400-МГс)

    – DDR2: Соат 266-МГс (Меъёри маълумот 532-МГс)

    – DDR3: Соат 400-МГс (Меъёри маълумот 800-МГс)

    - DDR3L: Соат 400-МГс (Меъёри маълумот 800-МГс)

    – Автобуси 16-битии маълумот

    - 1 ГБ фазои умумии суроғашаванда

    - Як конфигуратсияи дастгоҳи хотираи x16 ё ду x8 -ро дастгирӣ мекунад

    - Назорати хотираи таъиноти умумӣ (GPMC)

    - Интерфейси хотираи чандири 8-бит ва 16-бити асинхронӣ бо то ҳафт интихоби чип (NAND, NOR, Muxed-NOR, SRAM)

    - Рамзи BCH-ро барои дастгирии 4-, 8- ё 16-бити ECC истифода мебарад

    - Барои дастгирии 1-бит ECC рамзи Hamming-ро истифода мебарад

    – Модули Locator Error (ELM)

    - Дар якҷоягӣ бо GPMC барои дарёфти суроғаҳои хатогиҳои маълумот аз полиномҳои синдроми бо истифода аз алгоритми BCH тавлидшуда истифода мешавад

    - 4-, 8- ва 16-битро барои ҷойгиршавии хатои блоки 512-байтӣ дар асоси алгоритмҳои BCH дастгирӣ мекунад

    • Зерсистемаи барномарезишавандаи воҳиди воқеии вақт ва зерсистемаи алоқаи саноатӣ (PRU-ICSS)

    - Дастгирии протоколҳо ба монанди EtherCAT®, PROFIBUS, PROFINET, EtherNet/IP™ ва ғайра

    - Ду воҳиди барномарезишавандаи вақти воқеӣ (PRUs)

    - Протсессори 32-бити боркунӣ/мағозавии RISC, ки қодир аст дар 200 МГс кор кунад

    - 8 КБ хотираи дастури RAM бо як хатоги ошкор (паритет)

    – 8 КБ хотираи RAM маълумот бо ошкоркунии як хатогӣ (паритет)

    – Мултипликатори якдавраи 32-бит бо аккумулятори 64-бит

    - Модули мукаммали GPIO пуштибонии гузариш ва берун аз сигнали берунаро таъмин мекунад

    - 12 КБ RAM-и муштарак бо ошкоркунии як хатогӣ (паритет)

    - Се бонки реестри 120-байт, ки аз ҷониби ҳар як PRU дастрасанд

    – Назоратчии қатъ (INTC) барои коркарди рӯйдодҳои вуруди система

    - Автобуси маҳаллӣ барои пайваст кардани устодони дохилӣ ва хориҷӣ ба захираҳои дохили PRU-ICSS

    – Дастгоҳҳои периферӣ дар дохили PRU-ICSS:

    - Як бандари UART бо пинҳои назорати ҷараён, то 12 Мбит / сонияро дастгирӣ мекунад

    - Як модули такмилёфтаи забт (eCAP).

    - Ду бандарҳои MII Ethernet, ки Ethernet саноатиро дастгирӣ мекунанд, ба монанди EtherCAT

    - Як порти MDIO

    • Модули барқ, барқароркунӣ ва идоракунии соат (PRCM).

    – Воридшавӣ ва баромадани режимҳои интизорӣ ва хоби амиқро назорат мекунад

    - Масъул барои пайдарпайии хоб, пайдарпаии хомӯш кардани домени барқ, пайдарпайии бедоршавӣ ва пайдарпайии фаъолсозии домени барқ

    – Соатҳо

    – Осциллятори ҳамгирошудаи 15-35-МГс, ки барои тавлиди соати истинод барои соатҳои гуногуни система ва периферӣ истифода мешавад

    - Соати инфиродӣ барои фаъол ва ғайрифаъол кардани назорати зерсистемаҳо ва перифериҳоро дастгирӣ мекунад, то истеъмоли ками барқро осон кунад

    - Панҷ ADPLL барои тавлиди соатҳои система (Зерсистемаи MPU, интерфейси DDR, USB ва периферияҳо [MMC ва SD, UART, SPI, I 2C], L3, L4, Ethernet, GFX [SGX530], соати пикселии LCD)

    - Қувва

    - Ду домени қудрати ивазнашаванда (Соати вақти воқеӣ [RTC], мантиқи бедоршавӣ [WAKEUP])

    - Се домени ивазшавандаи барқ ​​(Зерсистемаи MPU [MPU], SGX530 [GFX], дастгоҳҳои периферӣ ва инфрасохтор [PER])

    – SmartReflex™ Синфи 2B-ро барои миқёси шиддати аслӣ дар асоси ҳарорат, тағирёбии раванд ва иҷроиш амалӣ мекунад (Миқёси мутобиқшавии шиддат [AVS])

    - Миқёси басомади шиддати динамикӣ (DVFS)

    • Соат дар вақти воқеӣ (RTC)

    - Маълумот дар бораи вақти воқеӣ (рӯз-моҳ-сол-рӯзи ҳафта) ва вақт (соат-дақиқа-сония)

    - Осциллятори дохилӣ 32.768-кГц, RTC Logic ва 1.1-V LDO дохилӣ

    – Вуруди мустақили барқ ​​дар барқарорсозӣ (RTC_PWRONRSTn).

    - Тавассути воридотӣ (EXT_WAKEUP) барои рӯйдодҳои бедории беруна

    - Ҳушдори барномарезишавандаро барои тавлиди қатъҳои дохилӣ ба PRCM (барои бедоршавӣ) ё Cortex-A8 (барои огоҳии ҳодиса) истифода бурдан мумкин аст.

    - Ҳушдори барномарезишавандаро бо баромади беруна (PMIC_POWER_EN) истифода бурдан мумкин аст, то IC-и идоракунии нерӯро барои барқарор кардани доменҳои барқи ғайри RTC фаъол созад

    • Дастгоҳҳои периферӣ

    - То ду порти баландсуръати USB 2.0 DRD (дастгоҳи дуҷониба) бо ҳамгирошудаи PHY

    - То ду MAC-и саноатии Gigabit Ethernet (10, 100, 1000 Мбит / сония)

    - Калиди ҳамгирошуда

    - Ҳар як MAC интерфейсҳои MII, RMII, RGMII ва MDIO-ро дастгирӣ мекунад

    – MAC-ҳои Ethernet ва Switch метавонанд новобаста аз дигар вазифаҳо кор кунанд

    - IEEE 1588v1 Протоколи дақиқи вақт (PTP)

    - То ду бандарҳои Шабакаи Минтақаи Назорати (CAN).

    – Дастгирии CAN Version 2 Қисмҳои А ва В

    - То ду порти силсилавии аудиоии бисёрканал (McASPs)

    - Соатҳои интиқол ва қабул то 50 МГс

    - То чор пинҳои силсилавии маълумот дар як бандари McASP бо соатҳои мустақили TX ва RX

    - Мултипликатсияи Time Division (TDM), Inter-IC Sound (I2S) ва форматҳои шабеҳро дастгирӣ мекунад

    - Интиқоли интерфейси аудиоии рақамиро дастгирӣ мекунад (Форматҳои SPDIF, IEC60958-1 ва AES-3)

    - Буферҳои FIFO барои интиқол ва қабул (256 байт)

    - То шаш UART

    - Ҳама UARTҳо режимҳои IrDA ва CIR -ро дастгирӣ мекунанд

    - Ҳама UARTs назорати ҷараёни RTS ва CTS -ро дастгирӣ мекунанд

    - UART1 назорати пурраи модемро дастгирӣ мекунад

    - То ду интерфейси силсилавии Master ва Slave McSPI

    - То ду интихоб кардани чип

    – То 48 МГс

    - То се бандарҳои MMC, SD, SDIO

    – Усулҳои 1-, 4- ва 8-бита MMC, SD, SDIO

    - MMCSD0 дорои роҳи оҳани барқии махсус барои амалиёти 1,8‑V ё 3,3-V мебошад

    - Меъёри интиқоли маълумот то 48-MHz

    - Муайян кардани корт ва муҳофизати навиштанро дастгирӣ мекунад

    – Бо мушаххасоти MMC4.3, SD, SDIO 2.0 мувофиқат мекунад

    - То се I 2C интерфейси Master ва Slave

    - Ҳолати стандартӣ (то 100 кГц)

    - Ҳолати зуд (то 400 кГц)

    - То чор бонки пинҳои таъиноти умумӣ / баромад (GPIO).

    - 32 pins GPIO барои як бонк (мултиплекс бо дигар пинҳои функсионалӣ)

    - Пинҳонҳои GPIO-ро метавон ҳамчун вуруди танаффус истифода бурд (то ду вуруди қатъ барои як бонк)

    - То се вуруди берунии рӯйдодҳои DMA, ки онҳоро метавон ҳамчун вуруди қатъкунӣ истифода бурд

    - Ҳашт таймерҳои 32-битии умумӣ

    – DMTIMER1 як таймери 1-мс аст, ки барои Тикҳои системаи оператсионӣ (OS) истифода мешавад

    – DMTIMER4–DMTIMER7 пайваст карда шудаанд

    - Як вақтсанҷи посбон

    – Муҳаррики графикии 3D SGX530

    - Архитектураи ба плитка асосёфта, ки дар як сония то 20 миллион полигонро мерасонад

    - Муҳаррики универсалии миқёспазир Shader (USSE) як муҳаррики бисёрҷабҳаест, ки функсияҳои Pixel ва Vertex Shader-ро дар бар мегирад

    - Хусусияти пешрафтаи Shader дар зиёда аз Microsoft VS3.0, PS3.0 ва OGL2.0 муқаррар карда шудааст

    - Дастгирии API стандарти саноатӣ аз Direct3D Mobile, OGL-ES 1.1 ва 2.0 ва OpenMax

    - Гузариши вазифаҳои дақиқ, мувозинати сарборӣ ва идоракунии нерӯ

    - Амалиёт бо геометрияи пешрафтаи DMA барои ҳамкории ҳадди ақали CPU

    - Анти-алиасинги тасвири барномарезишавандаи баландсифат

    - Суроғаи хотираи комилан виртуалӣ барои амалиёти OS дар меъмории ягонаи хотира

    • Таҷҳизоти берунии бозӣ

    • Автоматикунонии хонагӣ ва саноат

    • Асбобҳои тиббии истеъмолӣ

    • Принтерҳо

    • Системаҳои пулакии интеллектуалӣ

    • Мошинҳои автоматии пайвастшуда

    • Тарозуи вазн

    • Консолҳои таълимӣ

    • Бозичаҳои пешрафта

    Маҳсулоти марбут